Picture

Questions?

+1-866-353-3335

SEARCH
What are you looking for?
Need help finding what you are looking for? Contact Us
Compare

PUBLISHER: TECHCET | PRODUCT CODE: 1498833

Cover Image

PUBLISHER: TECHCET | PRODUCT CODE: 1498833

ALD / High K Metal Precursors Market Report 2024-2025 (Critical Materials Report)

PUBLISHED:
PAGES: 170 Pages
DELIVERY TIME: 1-2 business days
SELECT AN OPTION
PDF (Single User License)
USD 8900
PDF (2-User License)
USD 15575
PDF (3-5 User License)
USD 20025

Add to Cart

This report covers the market landscape and supply-chain for Precursors used in semiconductor device fabrication. It includes information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments.

SAMPLE VIEW

TABLE OF CONTENTS

1 EXECUTIVE SUMMARY

  • 1.1 PRECURSORS BUSINESS - MARKET OVERVIEW
  • 1.2 PRECURSORS MARKET TRENDS IMPACTING 2024 OUTLOOK
  • 1.3 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT: METAL AND HIGH-K PRECURSORS
  • 1.4 PRECURSOR TRENDS
  • 1.5 PRECURSOR TECHNOLOGY TRENDS
  • 1.6 COMPETITIVE LANDSCAPE METAL & HIGH-K PRECURSORS
  • 1.7 PRECURSOR EHS, TRADE, AND/OR LOGISTICS ISSUES/CONCERNS
  • 1.8 ANALYST ASSESSMENT OF METAL AND HIGH-K PRECURSORS

2 SCOPE, PURPOSE AND METHODOLOGY

  • 2.1 SCOPE
  • 2.2 METHODOLOGY
  • 2.3 OVERVIEW OF OTHER TECHCET CMR(TM) OFFERINGS

3 SEMICONDUCTOR INDUSTRY MARKET STATUS & OUTLOOK

  • 3.1 WORLDWIDE ECONOMY AND OUTLOOK
    • 3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2 SEMICONDUCTOR SALES GROWTH
    • 3.1.3 TAIWAN OUTSOURCE MANUFACTURER MONTHLY SALES TRENDS
  • 3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1 ELECTRONICS OUTLOOK
    • 3.2.2 AUTOMOTIVE INDUSTRY OUTLOOK
      • 3.2.2.1 ELECTRIC VEHICLE (EV) MARKET TRENDS
      • 3.2.2.2 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS
    • 3.2.3 SMARTPHONE OUTLOOK
    • 3.2.4 PC OUTLOOK
    • 3.2.5 SERVERS / IT MARKET
  • 3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1 IN THE MIDST OF HUGE INVESTMENT IN CHIP EXPANSIONS
    • 3.3.2 NEW FABS IN THE US
    • 3.3.3 WW FAB EXPANSION DRIVING GROWTH
    • 3.3.4 EQUIPMENT SPENDING TRENDS
    • 3.3.5 ADVANCED LOGIC TECHNOLOGY ROADMAPS
      • 3.3.5.1 DRAM TECHNOLOGY ROADMAPS
      • 3.3.5.2 3D NAND TECHNOLOGY ROADMAPS
    • 3.3.6 FAB INVESTMENT ASSESSMENT
  • 3.4 POLICY & TRADE TRENDS AND IMPACT
  • 3.5 SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1 TECHCET WAFER STARTS FORECAST THROUGH 2028
    • 3.5.2 TECHCET MATERIALS MARKET FORECAST THROUGH 2028

4 MATERIAL MARKET TRENDS

  • 4.1 CVD, ALD METAL & HIGH-K AND ADVANCED DIELECTRIC PRECURSORS MARKET TRENDS
    • 4.1.1 2023 PRECURSOR MARKET LEADING INTO 2024
    • 4.1.2 PRECURSOR MARKET OUTLOOK
    • 4.1.3 METAL AND HIGH-K PRECURSORS 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT
  • 4.2 PRECURSORS SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1 METAL & HIGH-K PRECURSOR PRODUCTION CAPACITY OF TOP SUPPLIERS
    • 4.2.2 METAL & HIGH-K PRODUCTION BY REGION
    • 4.2.3 ALD/CVD MATERIAL PRODUCTION CAPACITY EXPANSIONS
    • 4.2.4 INVESTMENT ANNOUNCEMENTS OVERVIEW
    • 4.2.5 PRECURSORS SUPPLY VS. DEMAND BALANCE - OVERVIEW
  • 4.3 PRICING TRENDS
  • 4.4 TECHNOLOGY TRENDS/TECHNICAL DRIVERS - OUTLINE
    • 4.4.1 PRECURSOR GENERAL TECHNOLOGY OVERVIEW & TECHNOLOGY TRENDS
    • 4.4.2 CUSTOMER DRIVEN TECHNOLOGIES
    • 4.4.3 NAND ROADMAPS AND CHALLENGES - 3D NAND LEVELS W/ STACKS/TIERS
    • 4.4.4 3D NAND PROCESS ADVANCES REQUIRED
    • 4.4.5 NEW MATERIALS AND ETCH CHEMISTRIES ENABLE 3D NAND SCALING - PF3(G) AND MOO2CL2(S)
    • 4.4.6 MOLYBDENUM: THE NEW FRONTIER IN SEMICONDUCTOR METALLIZATION ACCORDING TO LAM RESEARCH
    • 4.4.7 DRAM PROCESS ADVANCES REQUIRED
    • 4.4.8 DRAM FUTURE TECHNOLOGY CHALLENGES
    • 4.4.9 MICRON UNVEILS BREAKTHROUGH NVDRAM: A DUAL-LAYER 32GBIT NON-VOLATILE FERROELECTRIC MEMORY WITH NEAR-DRAM PERFORMANCE
    • 4.4.10 ADVANCED LOGIC ROADMAPS AND CHALLENGES - LOGIC TRANSISTOR EST. ROADMAP
    • 4.4.11 ADVANCED LOGIC (FOUNDRY) NODE HVM ESTIMATE
    • 4.4.12 ADV LOGIC PROCESS ADVANCES REQUIRED
      • 4.4.12.1 THE SEMICONDUCTOR SHOWDOWN: SAMSUNG AND TSMC'S GAA FETS VS. INTEL'S RIBBONFET
    • 4.4.13 ADV LOGIC FUTURE TECHNOLOGY CHALLENGES
    • 4.4.14 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY
      • 4.4.14.1 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY - DSA
      • 4.4.14.2 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: CENTURA SCULPTA BY APPLIED MATERIALS: SHAPING THE FUTURE OF SEMICONDUCTOR MANUFACTURING
      • 4.4.14.3 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: LINE EDGE ROUGHNESS REDUCTION THRU DEPOSITION
    • 4.4.15 CFET ARCHITECTURE: CFET SCALING ADVANTAGE
      • 4.4.15.1 CFET ARCHITECTURE: COMPLEMENTARY FETS (CFETS)
      • 4.4.15.2 CFET ARCHITECTURE: CFET FUTURE PROSPECTS
    • 4.4.16 INORGANIC EUV RESIST - ALD DEPOSITED
    • 4.4.17 MOLECULAR LAYER DEPOSITION (MLD)
      • 4.4.17.1 TREND IS MLD COMBINED WITH ALD
      • 4.4.17.2 DIFFERENT TYPES OF MLD PRECURSORS AND MATERIALS
      • 4.4.17.3 MLD APPLICATIONS
    • 4.4.18 AREA SELECTIVE DEPOSITION (ASD)
      • 4.4.18.1 AREA SELECTIVE DEPOSITION (ASD) - ADEKA PRESENT ASD HF-PRECURSOR
      • 4.4.18.2 AREA SELECTIVE DEPOSITION (ASD) - TU EINDHOVEN SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT
    • 4.4.20 SPECIALTY/EMERGING METAL AND APPLICATIONS
    • 4.4.21 SPECIALTY/EMERGING HIGH-K AND APPLICATIONS
  • 4.5 REGIONAL CONSIDERATIONS - METAL AND HIGH-K
    • 4.5.1 REGIONAL ASPECTS AND DRIVERS
  • 4.6 EHS AND TRADE/LOGISTIC ISSUES - METALS, HIGH-K AND DIELECTRICS
    • 4.6.1 ESH METALS
    • 4.6.2 ESH HIGH-K
    • 4.6.3 ESH RECYCLING
  • 4.7 TRADE/LOGISTICS ISSUES - METAL MATERIALS
    • 4.7.1 TRADE/LOGISTICS ISSUES - HIGH-K MATERIALS
  • 4.8 ANALYST ASSESSMENT OF HIGH-K MARKET TRENDS
    • 4.8.1 ANALYST ASSESSMENT OF METAL MARKET TRENDS

5 SUPPLY-SIDE MARKET LANDSCAPE

  • 5.1 PRECURSOR MATERIAL MARKET SHARE
    • 5.1.1 CURRENT QUARTER ACTIVITY - MERCK
      • 5.1.1.1 MERCK
    • 5.1.2 CURRENT QUARTER ACTIVITY - AIR LIQUIDE
      • 5.1.2.1 AIR LIQUIDE
    • 5.1.3 CURRENT QUARTER ACTIVITY -ENTEGRIS
      • 5.1.3.1 ENTEGRIS
    • 5.1.4 ADEKA
      • 5.1.4.1 ADEKA
  • 5.2 M&A ACTIVITY AND PARTNERSHIPS
  • 5.3 PLANT CLOSURES
  • 5.4 NEW ENTRANTS
    • 5.4.1 MSP LAUNCHES TURBO II(TM) VAPORIZERS: NEXT-GEN EFFICIENCY FOR SEMICONDUCTOR FABRICATION
    • 5.4.2 A NEW ZR PRECURSOR WAFER-SCALE ZIRCONIUM DIOXIDE FILMS
    • 5.4.3 ADVANCES IN MOLYBDENUM THIN FILMS: NEW LIQUID PRECURSORS BOOST VAPOR PHASE DEPOSITION
    • 5.4.4 HANWHA TO SUPPLY ALD EQUIPMENT FOR MOLYBDENUM DEPOSITION FOR MEMORY APPLICATIONS
  • 5.5 SUPPLIERS OR PARTS/PRODUCT LINES THAT ARE AT RISK OF DISCONTINUATIONS
  • 5.6 TECHCET ANALYST ASSESSMENT OF PRECURSOR SUPPLIERS

6 SUB-TIER SUPPLY-CHAIN, PRECURSORS

  • 6.1 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW
    • 6.1.1 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - TIER 2 EXAMPLES NOURYON AND GELEST
    • 6.1.2 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - CHEMICAL & GAS MANAGEMENT SYSTEMS
    • 6.1.3 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - CHEMICAL DELIVERY CABINETS
    • 6.1.4 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW VALVE MANIFOLD BOXES (VMB)
    • 6.1.5 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - BULK SPEC GAS SYSTEMS
    • 6.1.6 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - GAS CABINETS
    • 6.1.7 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - FORMING GAS & DOPANT GAS BLENDERS
    • 6.1.8 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW CHEMICAL - MONITORING AND ANALYTICAL SYSTEMS
  • 6.2 SUB-TIER MATERIAL CVD & ALD PRECURSOR TRENDS
  • 6.3 SUB-TIER MATERIAL INDUSTRIAL VS. SEMICONDUCTOR-GRADE
  • 6.4 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER GLOBAL NETWORK MERCK
  • 6.5 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER GLOBAL NETWORK AIR LIQUIDE
  • 6.6 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER NEWS
  • 6.7 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS
  • 6.8 SUB-TIER SUPPLY-CHAIN PLANT UPDATES
  • 6.9 SUB-TIER SUPPLY-CHAIN PLANT UPDATES - HAFNIA AND REO FROM THE DUBBO PROJECT
  • 6.10 MINERAL USED IN THE SEMICONDUCTOR INDUSTRY DEPENDENCIES
  • 6.11 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - COBALT
  • 6.12 SUB-TIER SUPPLY-CHAIN PRICING TRENDS: ZIRCONIUM AND HAFNIUM
  • 6.13 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - HAFNIUM
  • 6.14 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - GALLIUM
  • 6.15 ALUMINUM
  • 6.16 TITANIUM
  • 6.17 TUNGSTEN
  • 6.18 MOLYBDENUM
  • 6.19 NIOBIUM AND TANTALUM
  • 6.20 RARE EARTHS
  • 6.21 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - PGM
  • 6.22 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - GERMANIUM
  • 6.23 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7 SUPPLIER PROFILES

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD
  • CITY CHEMICAL LLC
  • DNF CO., LTD
  • ...AND 20+ MORE

FIGURES

  • FIGURE 1.1: METAL & HIGH-K PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT
  • FIGURE 1.2: WW MARKET SHARE - METAL & HIGH-K PRECURSORS 2023 (U$ 811 M)
  • FIGURE 3.1: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2023)
  • FIGURE 3.2: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 3.3: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI)
  • FIGURE 3.4: 2023 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 3.5: GLOBAL LIGHT VEHICLE UNIT SALES (IN MILLIONS OF UNITS)
  • FIGURE 3.6: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 3.7: AUTOMOTIVE SEMICONDUCTOR PRODUCTION
  • FIGURE 3.8: MOBILE PHONE SHIPMENTS, WW ESTIMATES
  • FIGURE 3.9: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 3.10: TSMC PHOENIX CAMPUS WITH THE 2ND FAB VISIBLE IN THE BACKGROUND
  • FIGURE 3.11: ESTIMATED GLOBAL FAB SPENDING 2023-2028
  • FIGURE 3.12: FAB EXPANSIONS WITHIN THE US
  • FIGURE 3.13: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 3.14: GLOBAL TOTAL EQUIPMENT SPENDING (US$ M) AND Y-O-Y CHANGE
  • FIGURE 3.15: ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.16: DRAM TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.17: 3D NAND TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.18: INTEL OHIO PLANT SITE AS OF FEB. 2024
  • FIGURE 3.19: TECHCET WAFER START FORECAST BY NODE SEGMENTS
  • FIGURE 3.20: TECHCET WORLDWIDE MATERIALS FORECAST ($M USD)
  • FIGURE 4.1: METAL & HIGH-K PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT
  • FIGURE 4.2: MEMORY SUPPLY/DEMAND SITUATION 2024
  • FIGURE 4.3: WW MARKET SHARE - METAL & HIGH-K PRECURSORS 2023 (U$ 811 M)
  • FIGURE 4.4: REGIONAL MARKET SHARES
  • FIGURE 4.5: END USE APPLICATIONS DRIVING NEW DEVICE PROCESSES
  • FIGURE 4.6: 3D NAND STACKING DRIVES DIELECTRICS AND METALS PRECURSOR VOLUME
  • FIGURE 4.7: 3D NAND PROGRESSION
  • FIGURE 4.8: ETCH DEPTH PERFORMANCE
  • FIGURE 4.9: TOKYO ELECTRON'S NEW CRYOGENIC ETCH TOOL
  • FIGURE 4.10: DRAM MESH BY MICRON
  • FIGURE 4.11: IMEC CAPACITORLESS IGZO CELL FOR 3D STACKED DRAM
  • FIGURE 4.12: TRANSITION FROM 2D TO 3D DRAM
  • FIGURE 4.13: 32 GB NVDRAM WITH 1T 1C MEMORY LAYERS
  • FIGURE 4.14: GATE STRUCTURE ROADMAP
  • FIGURE 4.15: ADVANCED LOGIC (FOUNDRY) NODE ROAD MAP
  • FIGURE 4.16: LOGIC TRANSISTOR PROGRESSION
  • FIGURE 4.17: RIBBON FET
  • FIGURE 4.18: MONO LAYER NANO SHEETS CHANNELS
  • FIGURE 4.19: NANO IMPRINT LITHOGRAPHY PROCESS FLOW
  • FIGURE 4.20: ALD/ALE ENHANCEMENT OF NANO IMPRINT LITHOGRAPHY
  • FIGURE 4.21: DIRECTED SELF-ASSEMBLY
  • FIGURE 4.22: DSA PATENT FILING BY COMPANY
  • FIGURE 4.23: DSA PATEN FILING SINCE 2023
  • FIGURE 4.24: WHAT IS PATTERN SHAPING?
  • FIGURE 4.25: REFINING EUV PATTERNING BY APPLIED MATERIALS
  • FIGURE 4.26: COMPLEMENTARY FET (CFET)
  • FIGURE 4.27: CFET IMPROVES PERFORMANCE IN TRACK SCALING
  • FIGURE 4.28: MONOLITHIC CFET PROCESS FLOW EXAMPLE
  • FIGURE 4.29: MCFET NEW FEATURE: MIDDLE DIELECTRIC ISOLATION
  • FIGURE 4.30: LOW TEMPERATURE GATE STACK OPTION EXAMPLES
  • FIGURE 4.31: LOW TEMPERATURE SD/CONTACT OPTION EXAMPLES
  • FIGURE 4.32: BSPDN ADVANTAGE: IR DROP REDUCTION
  • FIGURE 4.33: INCREASING NUMBER OF ALD STEPS REQUIRED BY NEXT GENERATION GAA-FET AND CFET
  • FIGURE 4.34: IMEC SUB-1NM TRANSISTOR ROADMAP, 3D-STACKED CMOS 2.0 PLANS
  • FIGURE 4.35: PATENT FILING FOR MLD DEPOSITED EUV RESIST - SEARCH PERFORMED IN PATBASE
  • FIGURE 4.36: MOLECULAR LAYER DEPOSITION VS ATOMIC LAYER DEPOSITION
  • FIGURE 4.37: INCREASING TREND OF ALD/MLD PUBLICATIONS
  • FIGURE 4.38: ADEKA ASD-HF PRECURSORS
  • FIGURE 4.39: SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT
  • FIGURE 4.40: SPECIALTY/EMERGING METAL APPLICATIONS
  • FIGURE 4.41: SPECIALTY/EMERGING HIGH-K APPLICATIONS - NVM DRAM (MICRON IEDM2023)
  • FIGURE 4.42: 2023 METAL & HIGH-K REVENUE SHARE BY REGION
  • FIGURE 4.43: EHS ISSUES - HIGH-K: MINING IN CHINA
  • FIGURE 4.44: SK HYNIX'S RECYCLED AND RENEWABLE MATERIALS TARGETS
  • FIGURE 5.1: 2023 PRECURSOR MATERIAL SUPPLIER MARKET SHARE BY REVENUE
  • FIGURE 5.2: MERCK ELECTRONICS REVENUE 2022-2023 (M EUR), LEFT - SEMICONDUCTOR SOLUTIONS ANNUAL REVENUE FORECAST (M EUR), RIGHT
  • FIGURE 5.3: AIR LIQUIDE ELECTRONICS REVENUE FORECAST (M EUR)
  • FIGURE 5.4: THE MS (MATERIAL SOLUTIONS) DIVISION OF ENTEGRIS REVENUE FORECAST
  • FIGURE 5.5: ADEKA REVENUE ELECTRONICS REVENUE FORECAST (100M JPY)
  • FIGURE 5.6: NEW ZIRCONIUM PRECURSOR CLASS
  • FIGURE 5.7: ADVANCES IN MOLYBDENUM THIN FILMS: NEW LIQUID PRECURSORS BOOST VAPOR PHASE DEPOSITION
  • FIGURE 6.1: FORMING GAS BLENDER CONFIGURATION
  • FIGURE 6.2: TOP COUNTRIES/REGIONS THAT SUPPLY VERSUM MATERIALS US LLC (PANJIVA APRIL 2024)
  • FIGURE 6.3: TOP COUNTRIES/REGIONS THAT SUPPLY AIR LIQUIDE AMERICA CORP. (PANJEIVA APRIL 2024)
  • FIGURE 6.4: TOP COUNTRIES/REGIONS THAT SUPPLY H.C. STARCK INC. (USA)
  • FIGURE 6.5: PRICE TREND IN COBALT
  • FIGURE 6.6: HAFNIUM 5-YEAR PRICING
  • FIGURE 6.7: GALLIUM PRICE, 5 YEAR HISTORICAL
  • FIGURE 6.8: RUTHENIUM AND PLATINUM, 5-YEAR HISTORICAL PRICING
  • FIGURE 6.9: GERMANIUM PRICE, 5-YEAR HISTORICAL

TABLES

  • TABLE 1.1: METAL AND HI-K PRECURSORS REVENUES AND GROWTH RATES
  • TABLE 1.2: ESTIMATED METAL AND HIGH-K PRECURSOR MARKET SHARE BY SUPPLIER 2023
  • TABLE 3.1: GLOBAL GDP AND SEMICONDUCTOR REVENUES
  • TABLE 3.2: WORLD BANK ECONOMIC OUTLOOK (JANUARY 2024)
  • TABLE 3.3: BATTERY ELECTRIC VEHICLE (BEV) REGIONAL TRENDS
  • TABLE 3.4: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2023
  • TABLE 4.1: PRECURSORS REVENUE AND GROWTH RATES
  • TABLE 4.2: METAL AND HI-K PRECURSORS REVENUES AND GROWTH RATES
  • TABLE 4.3: ESTIMATED METAL AND HIGH-K PRECURSOR MARKET HARE BY SUPPLIER 2023
  • TABLE 4.4: METAL & HIGH-K PRECURSOR MARKET REGIONAL ASSESSMENT 2023
  • TABLE 4.5: OVERVIEW OF ANNOUNCED 2023/2024 MATERIAL SUPPLIER INVESTMENTS
  • TABLE 4.6: LEADING EDGE LOGIC DESCRIPTIONS BY NODE (TSMC, INTEL)
  • TABLE 4.7: SELECTIVE DEPOSITION - SELECTIVELY DEPOSITED MATERIALS
  • TABLE 4.8: REGIONAL PRECURSOR MATERIAL MARKETS
  • TABLE 4.9: REGIONAL PRECURSOR MATERIAL MARKETS, CONTINUED
  • TABLE 5.1: MERCK QUARTER FINANCIALS
  • TABLE 5.2: AIR LIQUIDE CURRENT QUARTER FINANCIALS
  • TABLE 5.3: ENTEGRIS SUPPLIER CURRENT QUARTER FINANCIALS
  • TABLE 6.1: CVD AND ALD PRECURSOR
  • TABLE 6.2: ORIGIN OF MINERALS USED TO MAKE PRECURSORS
  • TABLE 6.3: COBALT MINING AND PRODUCTION BY LOCATION
  • TABLE 6.4: ZIRCONIUM AND HAFNIUM MINERAL PRODUCTION BY LOCATION
  • TABLE 6.5: GALLIUM MINERAL PRODUCTION DESCRIPTION AND DEPENDENCIES
  • TABLE 6.6: ALUMINUM MINERAL REFINING AND PRODUCTION BY LOCATION
  • TABLE 6.7: TITANIUM ORE (ILMENITE AND RUTILE) PRODUCTION LOCATIONS
  • TABLE 6.8: TUNGSTEN ORE PRODUCTION BY LOCATION
  • TABLE 6.9: MOLYBDENUM PRODUCTION AND IMPORT AND EXPORTS
  • TABLE 6.10: MOLYBDENUM PRODUCTION DESCRIPTIONS
  • TABLE 6.11: NIOBIUM AND TANTALUM PRODUCTION BY LOCATION
  • TABLE 6.12: RARE EARTHS PRODUCTION BY LOCATION, I.E. LANTHANUM
  • TABLE 6.13: PGM PRODUCTION BY LOCATION
  • TABLE 6.14: GERMANIUM APPLICATIONS BY PERCENTAGE VOLUME

TABLE OF CONTENTS

1 EXECUTIVE SUMMARY

  • 1.1 PRECURSORS BUSINESS - MARKET OVERVIEW
  • 1.2 PRECURSORS MARKET TRENDS IMPACTING 2024 OUTLOOK
  • 1.3 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT: METAL AND HIGH-K PRECURSORS
  • 1.4 PRECURSOR TRENDS
  • 1.5 PRECURSOR TECHNOLOGY TRENDS
  • 1.6 COMPETITIVE LANDSCAPE METAL & HIGH-K PRECURSORS
  • 1.7 PRECURSOR EHS, TRADE, AND/OR LOGISTICS ISSUES/CONCERNS
  • 1.8 ANALYST ASSESSMENT OF METAL AND HIGH-K PRECURSORS

2 SCOPE, PURPOSE AND METHODOLOGY

  • 2.1 SCOPE
  • 2.2 METHODOLOGY
  • 2.3 OVERVIEW OF OTHER TECHCET CMR(TM) OFFERINGS

3 SEMICONDUCTOR INDUSTRY MARKET STATUS & OUTLOOK

  • 3.1 WORLDWIDE ECONOMY AND OUTLOOK
    • 3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2 SEMICONDUCTOR SALES GROWTH
    • 3.1.3 TAIWAN OUTSOURCE MANUFACTURER MONTHLY SALES TRENDS
  • 3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1 ELECTRONICS OUTLOOK
    • 3.2.2 AUTOMOTIVE INDUSTRY OUTLOOK
      • 3.2.2.1 ELECTRIC VEHICLE (EV) MARKET TRENDS
      • 3.2.2.2 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS
    • 3.2.3 SMARTPHONE OUTLOOK
    • 3.2.4 PC OUTLOOK
    • 3.2.5 SERVERS / IT MARKET
  • 3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1 IN THE MIDST OF HUGE INVESTMENT IN CHIP EXPANSIONS
    • 3.3.2 NEW FABS IN THE US
    • 3.3.3 WW FAB EXPANSION DRIVING GROWTH
    • 3.3.4 EQUIPMENT SPENDING TRENDS
    • 3.3.5 ADVANCED LOGIC TECHNOLOGY ROADMAPS
      • 3.3.5.1 DRAM TECHNOLOGY ROADMAPS
      • 3.3.5.2 3D NAND TECHNOLOGY ROADMAPS
    • 3.3.6 FAB INVESTMENT ASSESSMENT
  • 3.4 POLICY & TRADE TRENDS AND IMPACT
  • 3.5 SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1 TECHCET WAFER STARTS FORECAST THROUGH 2028
    • 3.5.2 TECHCET MATERIALS MARKET FORECAST THROUGH 2028

4 MATERIAL MARKET TRENDS

  • 4.1 CVD, ALD METAL & HIGH-K AND ADVANCED DIELECTRIC PRECURSORS MARKET TRENDS
    • 4.1.1 2023 PRECURSOR MARKET LEADING INTO 2024
    • 4.1.2 PRECURSOR MARKET OUTLOOK
    • 4.1.3 METAL AND HIGH-K PRECURSORS 5-YEAR UNIT SHIPMENT FORECAST BY SEGMENT
  • 4.2 PRECURSORS SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1 METAL & HIGH-K PRECURSOR PRODUCTION CAPACITY OF TOP SUPPLIERS
    • 4.2.2 METAL & HIGH-K PRODUCTION BY REGION
    • 4.2.3 ALD/CVD MATERIAL PRODUCTION CAPACITY EXPANSIONS
    • 4.2.4 INVESTMENT ANNOUNCEMENTS OVERVIEW
    • 4.2.5 PRECURSORS SUPPLY VS. DEMAND BALANCE - OVERVIEW
  • 4.3 PRICING TRENDS
  • 4.4 TECHNOLOGY TRENDS/TECHNICAL DRIVERS - OUTLINE
    • 4.4.1 PRECURSOR GENERAL TECHNOLOGY OVERVIEW & TECHNOLOGY TRENDS
    • 4.4.2 CUSTOMER DRIVEN TECHNOLOGIES
    • 4.4.3 NAND ROADMAPS AND CHALLENGES - 3D NAND LEVELS W/ STACKS/TIERS
    • 4.4.4 3D NAND PROCESS ADVANCES REQUIRED
    • 4.4.5 NEW MATERIALS AND ETCH CHEMISTRIES ENABLE 3D NAND SCALING - PF3(G) AND MOO2CL2(S)
    • 4.4.6 MOLYBDENUM: THE NEW FRONTIER IN SEMICONDUCTOR METALLIZATION ACCORDING TO LAM RESEARCH
    • 4.4.7 DRAM PROCESS ADVANCES REQUIRED
    • 4.4.8 DRAM FUTURE TECHNOLOGY CHALLENGES
    • 4.4.9 MICRON UNVEILS BREAKTHROUGH NVDRAM: A DUAL-LAYER 32GBIT NON-VOLATILE FERROELECTRIC MEMORY WITH NEAR-DRAM PERFORMANCE
    • 4.4.10 ADVANCED LOGIC ROADMAPS AND CHALLENGES - LOGIC TRANSISTOR EST. ROADMAP
    • 4.4.11 ADVANCED LOGIC (FOUNDRY) NODE HVM ESTIMATE
    • 4.4.12 ADV LOGIC PROCESS ADVANCES REQUIRED
      • 4.4.12.1 THE SEMICONDUCTOR SHOWDOWN: SAMSUNG AND TSMC'S GAA FETS VS. INTEL'S RIBBONFET
    • 4.4.13 ADV LOGIC FUTURE TECHNOLOGY CHALLENGES
    • 4.4.14 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY
      • 4.4.14.1 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY - DSA
      • 4.4.14.2 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: CENTURA SCULPTA BY APPLIED MATERIALS: SHAPING THE FUTURE OF SEMICONDUCTOR MANUFACTURING
      • 4.4.14.3 ADVANCING TECHNOLOGIES IMPLICATION TO PHOTOLITHOGRAPHY: LINE EDGE ROUGHNESS REDUCTION THRU DEPOSITION
    • 4.4.15 CFET ARCHITECTURE: CFET SCALING ADVANTAGE
      • 4.4.15.1 CFET ARCHITECTURE: COMPLEMENTARY FETS (CFETS)
      • 4.4.15.2 CFET ARCHITECTURE: CFET FUTURE PROSPECTS
    • 4.4.16 INORGANIC EUV RESIST - ALD DEPOSITED
    • 4.4.17 MOLECULAR LAYER DEPOSITION (MLD)
      • 4.4.17.1 TREND IS MLD COMBINED WITH ALD
      • 4.4.17.2 DIFFERENT TYPES OF MLD PRECURSORS AND MATERIALS
      • 4.4.17.3 MLD APPLICATIONS
    • 4.4.18 AREA SELECTIVE DEPOSITION (ASD)
      • 4.4.18.1 AREA SELECTIVE DEPOSITION (ASD) - ADEKA PRESENT ASD HF-PRECURSOR
      • 4.4.18.2 AREA SELECTIVE DEPOSITION (ASD) - TU EINDHOVEN SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT
    • 4.4.20 SPECIALTY/EMERGING METAL AND APPLICATIONS
    • 4.4.21 SPECIALTY/EMERGING HIGH-K AND APPLICATIONS
  • 4.5 REGIONAL CONSIDERATIONS - METAL AND HIGH-K
    • 4.5.1 REGIONAL ASPECTS AND DRIVERS
  • 4.6 EHS AND TRADE/LOGISTIC ISSUES - METALS, HIGH-K AND DIELECTRICS
    • 4.6.1 ESH METALS
    • 4.6.2 ESH HIGH-K
    • 4.6.3 ESH RECYCLING
  • 4.7 TRADE/LOGISTICS ISSUES - METAL MATERIALS
    • 4.7.1 TRADE/LOGISTICS ISSUES - HIGH-K MATERIALS
  • 4.8 ANALYST ASSESSMENT OF HIGH-K MARKET TRENDS
    • 4.8.1 ANALYST ASSESSMENT OF METAL MARKET TRENDS

5 SUPPLY-SIDE MARKET LANDSCAPE

  • 5.1 PRECURSOR MATERIAL MARKET SHARE
    • 5.1.1 CURRENT QUARTER ACTIVITY - MERCK
      • 5.1.1.1 MERCK
    • 5.1.2 CURRENT QUARTER ACTIVITY - AIR LIQUIDE
      • 5.1.2.1 AIR LIQUIDE
    • 5.1.3 CURRENT QUARTER ACTIVITY -ENTEGRIS
      • 5.1.3.1 ENTEGRIS
    • 5.1.4 ADEKA
      • 5.1.4.1 ADEKA
  • 5.2 M&A ACTIVITY AND PARTNERSHIPS
  • 5.3 PLANT CLOSURES
  • 5.4 NEW ENTRANTS
    • 5.4.1 MSP LAUNCHES TURBO II(TM) VAPORIZERS: NEXT-GEN EFFICIENCY FOR SEMICONDUCTOR FABRICATION
    • 5.4.2 A NEW ZR PRECURSOR WAFER-SCALE ZIRCONIUM DIOXIDE FILMS
    • 5.4.3 ADVANCES IN MOLYBDENUM THIN FILMS: NEW LIQUID PRECURSORS BOOST VAPOR PHASE DEPOSITION
    • 5.4.4 HANWHA TO SUPPLY ALD EQUIPMENT FOR MOLYBDENUM DEPOSITION FOR MEMORY APPLICATIONS
  • 5.5 SUPPLIERS OR PARTS/PRODUCT LINES THAT ARE AT RISK OF DISCONTINUATIONS
  • 5.6 TECHCET ANALYST ASSESSMENT OF PRECURSOR SUPPLIERS

6 SUB-TIER SUPPLY-CHAIN, PRECURSORS

  • 6.1 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW
    • 6.1.1 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - TIER 2 EXAMPLES NOURYON AND GELEST
    • 6.1.2 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - CHEMICAL & GAS MANAGEMENT SYSTEMS
    • 6.1.3 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - CHEMICAL DELIVERY CABINETS
    • 6.1.4 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW VALVE MANIFOLD BOXES (VMB)
    • 6.1.5 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - BULK SPEC GAS SYSTEMS
    • 6.1.6 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - GAS CABINETS
    • 6.1.7 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW - FORMING GAS & DOPANT GAS BLENDERS
    • 6.1.8 SUB-TIER SUPPLY CHAIN: SOURCES & MARKETS OVERVIEW CHEMICAL - MONITORING AND ANALYTICAL SYSTEMS
  • 6.2 SUB-TIER MATERIAL CVD & ALD PRECURSOR TRENDS
  • 6.3 SUB-TIER MATERIAL INDUSTRIAL VS. SEMICONDUCTOR-GRADE
  • 6.4 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER GLOBAL NETWORK MERCK
  • 6.5 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER GLOBAL NETWORK AIR LIQUIDE
  • 6.6 SEMICONDUCTOR-GRADE SUB-TIER MATERIAL SUPPLIER NEWS
  • 6.7 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS
  • 6.8 SUB-TIER SUPPLY-CHAIN PLANT UPDATES
  • 6.9 SUB-TIER SUPPLY-CHAIN PLANT UPDATES - HAFNIA AND REO FROM THE DUBBO PROJECT
  • 6.10 MINERAL USED IN THE SEMICONDUCTOR INDUSTRY DEPENDENCIES
  • 6.11 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - COBALT
  • 6.12 SUB-TIER SUPPLY-CHAIN PRICING TRENDS: ZIRCONIUM AND HAFNIUM
  • 6.13 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - HAFNIUM
  • 6.14 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - GALLIUM
  • 6.15 ALUMINUM
  • 6.16 TITANIUM
  • 6.17 TUNGSTEN
  • 6.18 MOLYBDENUM
  • 6.19 NIOBIUM AND TANTALUM
  • 6.20 RARE EARTHS
  • 6.21 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - PGM
  • 6.22 SUB-TIER SUPPLY-CHAIN PRICING TRENDS - GERMANIUM
  • 6.23 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7 SUPPLIER PROFILES

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD
  • CITY CHEMICAL LLC
  • DNF CO., LTD
  • ...AND 20+ MORE

FIGURES

  • FIGURE 1.1: METAL & HIGH-K PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT
  • FIGURE 1.2: WW MARKET SHARE - METAL & HIGH-K PRECURSORS 2023 (U$ 811 M)
  • FIGURE 3.1: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2023)
  • FIGURE 3.2: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 3.3: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI)
  • FIGURE 3.4: 2023 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 3.5: GLOBAL LIGHT VEHICLE UNIT SALES (IN MILLIONS OF UNITS)
  • FIGURE 3.6: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 3.7: AUTOMOTIVE SEMICONDUCTOR PRODUCTION
  • FIGURE 3.8: MOBILE PHONE SHIPMENTS, WW ESTIMATES
  • FIGURE 3.9: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 3.10: TSMC PHOENIX CAMPUS WITH THE 2ND FAB VISIBLE IN THE BACKGROUND
  • FIGURE 3.11: ESTIMATED GLOBAL FAB SPENDING 2023-2028
  • FIGURE 3.12: FAB EXPANSIONS WITHIN THE US
  • FIGURE 3.13: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 3.14: GLOBAL TOTAL EQUIPMENT SPENDING (US$ M) AND Y-O-Y CHANGE
  • FIGURE 3.15: ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.16: DRAM TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.17: 3D NAND TECHNOLOGY ROADMAP OVERVIEW
  • FIGURE 3.18: INTEL OHIO PLANT SITE AS OF FEB. 2024
  • FIGURE 3.19: TECHCET WAFER START FORECAST BY NODE SEGMENTS
  • FIGURE 3.20: TECHCET WORLDWIDE MATERIALS FORECAST ($M USD)
  • FIGURE 4.1: METAL & HIGH-K PRECURSOR REVENUE (M USD) FORECAST BY SEGMENT
  • FIGURE 4.2: MEMORY SUPPLY/DEMAND SITUATION 2024
  • FIGURE 4.3: WW MARKET SHARE - METAL & HIGH-K PRECURSORS 2023 (U$ 811 M)
  • FIGURE 4.4: REGIONAL MARKET SHARES
  • FIGURE 4.5: END USE APPLICATIONS DRIVING NEW DEVICE PROCESSES
  • FIGURE 4.6: 3D NAND STACKING DRIVES DIELECTRICS AND METALS PRECURSOR VOLUME
  • FIGURE 4.7: 3D NAND PROGRESSION
  • FIGURE 4.8: ETCH DEPTH PERFORMANCE
  • FIGURE 4.9: TOKYO ELECTRON'S NEW CRYOGENIC ETCH TOOL
  • FIGURE 4.10: DRAM MESH BY MICRON
  • FIGURE 4.11: IMEC CAPACITORLESS IGZO CELL FOR 3D STACKED DRAM
  • FIGURE 4.12: TRANSITION FROM 2D TO 3D DRAM
  • FIGURE 4.13: 32 GB NVDRAM WITH 1T 1C MEMORY LAYERS
  • FIGURE 4.14: GATE STRUCTURE ROADMAP
  • FIGURE 4.15: ADVANCED LOGIC (FOUNDRY) NODE ROAD MAP
  • FIGURE 4.16: LOGIC TRANSISTOR PROGRESSION
  • FIGURE 4.17: RIBBON FET
  • FIGURE 4.18: MONO LAYER NANO SHEETS CHANNELS
  • FIGURE 4.19: NANO IMPRINT LITHOGRAPHY PROCESS FLOW
  • FIGURE 4.20: ALD/ALE ENHANCEMENT OF NANO IMPRINT LITHOGRAPHY
  • FIGURE 4.21: DIRECTED SELF-ASSEMBLY
  • FIGURE 4.22: DSA PATENT FILING BY COMPANY
  • FIGURE 4.23: DSA PATEN FILING SINCE 2023
  • FIGURE 4.24: WHAT IS PATTERN SHAPING?
  • FIGURE 4.25: REFINING EUV PATTERNING BY APPLIED MATERIALS
  • FIGURE 4.26: COMPLEMENTARY FET (CFET)
  • FIGURE 4.27: CFET IMPROVES PERFORMANCE IN TRACK SCALING
  • FIGURE 4.28: MONOLITHIC CFET PROCESS FLOW EXAMPLE
  • FIGURE 4.29: MCFET NEW FEATURE: MIDDLE DIELECTRIC ISOLATION
  • FIGURE 4.30: LOW TEMPERATURE GATE STACK OPTION EXAMPLES
  • FIGURE 4.31: LOW TEMPERATURE SD/CONTACT OPTION EXAMPLES
  • FIGURE 4.32: BSPDN ADVANTAGE: IR DROP REDUCTION
  • FIGURE 4.33: INCREASING NUMBER OF ALD STEPS REQUIRED BY NEXT GENERATION GAA-FET AND CFET
  • FIGURE 4.34: IMEC SUB-1NM TRANSISTOR ROADMAP, 3D-STACKED CMOS 2.0 PLANS
  • FIGURE 4.35: PATENT FILING FOR MLD DEPOSITED EUV RESIST - SEARCH PERFORMED IN PATBASE
  • FIGURE 4.36: MOLECULAR LAYER DEPOSITION VS ATOMIC LAYER DEPOSITION
  • FIGURE 4.37: INCREASING TREND OF ALD/MLD PUBLICATIONS
  • FIGURE 4.38: ADEKA ASD-HF PRECURSORS
  • FIGURE 4.39: SELECTIVE ALD ENABLED BY PLASMA PRETREATMENT
  • FIGURE 4.40: SPECIALTY/EMERGING METAL APPLICATIONS
  • FIGURE 4.41: SPECIALTY/EMERGING HIGH-K APPLICATIONS - NVM DRAM (MICRON IEDM2023)
  • FIGURE 4.42: 2023 METAL & HIGH-K REVENUE SHARE BY REGION
  • FIGURE 4.43: EHS ISSUES - HIGH-K: MINING IN CHINA
  • FIGURE 4.44: SK HYNIX'S RECYCLED AND RENEWABLE MATERIALS TARGETS
  • FIGURE 5.1: 2023 PRECURSOR MATERIAL SUPPLIER MARKET SHARE BY REVENUE
  • FIGURE 5.2: MERCK ELECTRONICS REVENUE 2022-2023 (M EUR), LEFT - SEMICONDUCTOR SOLUTIONS ANNUAL REVENUE FORECAST (M EUR), RIGHT
  • FIGURE 5.3: AIR LIQUIDE ELECTRONICS REVENUE FORECAST (M EUR)
  • FIGURE 5.4: THE MS (MATERIAL SOLUTIONS) DIVISION OF ENTEGRIS REVENUE FORECAST
  • FIGURE 5.5: ADEKA REVENUE ELECTRONICS REVENUE FORECAST (100M JPY)
  • FIGURE 5.6: NEW ZIRCONIUM PRECURSOR CLASS
  • FIGURE 5.7: ADVANCES IN MOLYBDENUM THIN FILMS: NEW LIQUID PRECURSORS BOOST VAPOR PHASE DEPOSITION
  • FIGURE 6.1: FORMING GAS BLENDER CONFIGURATION
  • FIGURE 6.2: TOP COUNTRIES/REGIONS THAT SUPPLY VERSUM MATERIALS US LLC (PANJIVA APRIL 2024)
  • FIGURE 6.3: TOP COUNTRIES/REGIONS THAT SUPPLY AIR LIQUIDE AMERICA CORP. (PANJEIVA APRIL 2024)
  • FIGURE 6.4: TOP COUNTRIES/REGIONS THAT SUPPLY H.C. STARCK INC. (USA)
  • FIGURE 6.5: PRICE TREND IN COBALT
  • FIGURE 6.6: HAFNIUM 5-YEAR PRICING
  • FIGURE 6.7: GALLIUM PRICE, 5 YEAR HISTORICAL
  • FIGURE 6.8: RUTHENIUM AND PLATINUM, 5-YEAR HISTORICAL PRICING
  • FIGURE 6.9: GERMANIUM PRICE, 5-YEAR HISTORICAL

TABLES

  • TABLE 1.1: METAL AND HI-K PRECURSORS REVENUES AND GROWTH RATES
  • TABLE 1.2: ESTIMATED METAL AND HIGH-K PRECURSOR MARKET SHARE BY SUPPLIER 2023
  • TABLE 3.1: GLOBAL GDP AND SEMICONDUCTOR REVENUES
  • TABLE 3.2: WORLD BANK ECONOMIC OUTLOOK (JANUARY 2024)
  • TABLE 3.3: BATTERY ELECTRIC VEHICLE (BEV) REGIONAL TRENDS
  • TABLE 3.4: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2023
  • TABLE 4.1: PRECURSORS REVENUE AND GROWTH RATES
  • TABLE 4.2: METAL AND HI-K PRECURSORS REVENUES AND GROWTH RATES
  • TABLE 4.3: ESTIMATED METAL AND HIGH-K PRECURSOR MARKET HARE BY SUPPLIER 2023
  • TABLE 4.4: METAL & HIGH-K PRECURSOR MARKET REGIONAL ASSESSMENT 2023
  • TABLE 4.5: OVERVIEW OF ANNOUNCED 2023/2024 MATERIAL SUPPLIER INVESTMENTS
  • TABLE 4.6: LEADING EDGE LOGIC DESCRIPTIONS BY NODE (TSMC, INTEL)
  • TABLE 4.7: SELECTIVE DEPOSITION - SELECTIVELY DEPOSITED MATERIALS
  • TABLE 4.8: REGIONAL PRECURSOR MATERIAL MARKETS
  • TABLE 4.9: REGIONAL PRECURSOR MATERIAL MARKETS, CONTINUED
  • TABLE 5.1: MERCK QUARTER FINANCIALS
  • TABLE 5.2: AIR LIQUIDE CURRENT QUARTER FINANCIALS
  • TABLE 5.3: ENTEGRIS SUPPLIER CURRENT QUARTER FINANCIALS
  • TABLE 6.1: CVD AND ALD PRECURSOR
  • TABLE 6.2: ORIGIN OF MINERALS USED TO MAKE PRECURSORS
  • TABLE 6.3: COBALT MINING AND PRODUCTION BY LOCATION
  • TABLE 6.4: ZIRCONIUM AND HAFNIUM MINERAL PRODUCTION BY LOCATION
  • TABLE 6.5: GALLIUM MINERAL PRODUCTION DESCRIPTION AND DEPENDENCIES
  • TABLE 6.6: ALUMINUM MINERAL REFINING AND PRODUCTION BY LOCATION
  • TABLE 6.7: TITANIUM ORE (ILMENITE AND RUTILE) PRODUCTION LOCATIONS
  • TABLE 6.8: TUNGSTEN ORE PRODUCTION BY LOCATION
  • TABLE 6.9: MOLYBDENUM PRODUCTION AND IMPORT AND EXPORTS
  • TABLE 6.10: MOLYBDENUM PRODUCTION DESCRIPTIONS
  • TABLE 6.11: NIOBIUM AND TANTALUM PRODUCTION BY LOCATION
  • TABLE 6.12: RARE EARTHS PRODUCTION BY LOCATION, I.E. LANTHANUM
  • TABLE 6.13: PGM PRODUCTION BY LOCATION
  • TABLE 6.14: GERMANIUM APPLICATIONS BY PERCENTAGE VOLUME
Have a question?
Picture

Jeroen Van Heghe

Manager - EMEA

+32-2-535-7543

Picture

Christine Sirois

Manager - Americas

+1-860-674-8796

Questions? Please give us a call or visit the contact form.
Hi, how can we help?
Contact us!